User Macro Guide

Table Of Contents
I/O Cells OPAD
Speedster Macro Cell Library
www.achronix.com PAGE 28
VHDL Instantiation Template
------------- ACHRONIX LIBRARY ------------
library speedster22i;
use speedster22i.components.all;
------------- DONE ACHRONIX LIBRARY ---------
-- Component Instantiation
OPAD_instance_name : OPAD
generic map (location => "",
iostandard => "LVCMOS18",
drive => "16",
slew => "slow",
pvt_comp => "none")
port map (din => user_din,
pad => user_pad);