Quartus® II Installation & Licensing for Linux Workstations Version 8.
Quartus II ® Installation & Licensing for Linux Workstations Version 8.1 ® Altera Corporation 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.
Quartus II Installation & Licensing for Linux Workstations Version 8.1 MNL-01037-1.0 Altera, the Altera logo, MAX, MAX+PLUS, MAX+PLUS II, MegaCore, NativeLink, Quartus, Quartus II, the Quartus II logo, and Stratix are registered trademarks of Altera Corporation in the United States and other countries. ByteBlaster, ByteBlasterMV, Cyclone, LogicLock, and MasterBlaster are trademarks and/or service marks of Altera Corporation in the United States and other countries.
Contents Preface .............................................................................................................................................. v Documentation Conventions .......................................................................................................vi Chapter 1: Installing the Quartus II Software ............................................................................ 1 Introduction.................................................................................
TABLE OF CONTENTS QUARTUS_LIBRARY_PATHS ........................................................... 35 Quartus II NativeLink Environment Variables............................................ 35 QUARTUS_INIT_PATH .................................................................... 35 QUARTUS_INIT_LIBPATH ............................................................. 36 Other Workstation Configuration Information..........................................................
Preface The Quartus® II Installation & Licensing for Linux Workstations manual provides comprehensive information for installing and licensing the Quartus II software and related software; includes additional Linux workstation configuration information that allows you to properly configure a Linux workstation for use with the Quartus II software; and includes information about other documentation and technical support.
Documentation Conventions The Quartus II Installation & Licensing for Linux Workstations manual uses the following conventions to make it easy for you to find and interpret information. Typographic Conventions Quartus II documentation uses the typographic conventions shown in the following table: Visual Cue Meaning Bold Initial Capitals Command names; dialog box, page, and tab titles; and button names are shown in bold with initial capital letters.
DOCUMENTATION CONVENTIONS Visual Cue Meaning ! The hand points to information that requires special attention. f The feet show you where to go for more information on a particular topic. The exclamation mark points to information or action that you take that can cause harm to your computer or cause you to lose data. w Terminology The following table shows terminology that is used throughout this manual: Term Meaning click Indicates a quick press and release of the left mouse button.
Chapter One Installing the Quartus II Software What’s in Chapter 1: Introduction 2 Quartus II Software System Requirements 2 Uninstalling Previously Installed Versions of Altera Software 4 Altera.
CHAPTER 1: INSTALLING THE QUARTUS II SOFTWARE INTRODUCTION Introduction This chapter describes the requirements and procedures for installing the Quartus II software and related software, which is available from the Download Center of the Altera website, and the Altera Complete Design Suite for Linux, which is available by request on a DVD-ROM. You can download the following software from the Download Center of the Altera website: ■ ■ ■ Quartus II Subscription Edition Software v8.
CHAPTER 1: INSTALLING THE QUARTUS II SOFTWARE QUARTUS II SOFTWARE SYSTEM REQUIREMENTS – ■ ■ ■ AMD64 processor or Intel EM64T processor or compatible processor-based PC with 1 GB memory, running Red Hat Enterprise Linux 4.0 or 5.0; CentOS-4 and CentOS-5; or SUSE Linux Enterprise Server 9 (for 64-bit Linux workstations). Adequate disk space, as described in the readme.txt file, which is located in the top-level directory of the Altera Complete Design Suite DVD-ROM. After installation, the readme.
CHAPTER 1: INSTALLING THE QUARTUS II SOFTWARE UNINSTALLING PREVIOUSLY INSTALLED VERSIONS OF ALTERA SOFTWARE f For Information About Refer To Updated information about system requirements and the most current version of this manual Quartus II Installation & Licensing for Linux Workstations manual on the Altera website Latest information on new features, EDA interface support, and known issues and workarounds for the Quartus II software Quartus II Software Release Notes document on the Altera website
CHAPTER 1: INSTALLING THE QUARTUS II SOFTWARE ALTERA.COM DOWNLOAD CENTER Altera.com Download Center You can download the following items from the Download Center of the Altera website at www.altera.
CHAPTER 1: INSTALLING THE QUARTUS II SOFTWARE INSTALLING DOWNLOADED ALTERA SOFTWARE ■ To run the Quartus II software using a network (multiuser) license, make sure that the license server uses version 8.0 or later of the FLEXlm License Manager Server software. By default, the Quartus II software installs version 9.5 of the FLEXlm License Manager Server software on the user workstation. For more information, refer to “Upgrading or Setting Up a License Manager Server” on page 17.
CHAPTER 1: INSTALLING THE QUARTUS II SOFTWARE ALTERA COMPLETE DESIGN SUITE Altera Complete Design Suite The Altera Complete Design Suite for Linux version 8.1 includes an integrated software installation solution that allows you to install the Quartus II software, and related software, from one application or DVD-ROM, which is available by request.
CHAPTER 1: INSTALLING THE QUARTUS II SOFTWARE ALTERA COMPLETE DESIGN SUITE Figure 1. Altera Complete Design Suite Installation Flow Altera Complete Design Suite Installation Script Begins Normal Normal Installation Normal or Custom Installation? Custom Custom Installation Nios II Embedded Design Suite for Linux ModelSim-Altera Selected Component Summary No Installation process requires that you answer yes or no for each software component during the installation process.
CHAPTER 1: INSTALLING THE QUARTUS II SOFTWARE THE ALTERA COMPLETE DESIGN SUITE INSTALLATION SCRIPT The Altera Complete Design Suite Installation Script The Altera Complete Design Suite installation script installs the Quartus II software and related software. You should be aware of the preinstallation information described in “Installing Downloaded Altera Software” on page 5 before you install the Altera Complete Design Suite software.
CHAPTER 1: INSTALLING THE QUARTUS II SOFTWARE USING THE 64-BIT VERSION OF THE QUARTUS II SOFTWARE You are guided through the installation procedure. 4. Unmount the DVD-ROM drive. For information about unmounting DVD-ROMs on Linux workstations, refer to “Mounting and Unmounting DVD-ROMs” on page 47 in Appendix B.
Chapter Two Licensing the Quartus II Software What’s in Chapter 2: Introduction 12 Obtaining a License File 13 Modifying the License File 15 Upgrading or Setting Up a License Manager Server 17 Configuring Each Linux Workstation 21 Configuring Each User Environment 22 Starting the Quartus II Software 23 Specifying the License File 23 Licensing Related Software 31
CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE INTRODUCTION Introduction This section describes how to license the Quartus II software and related software. To set up your license for the Quartus II software, you must follow these steps, which are described in more detail in this chapter: 1. Obtain a license file. The Quartus II software requires a license.dat license file for each server that supports a valid, unexpired network (multiuser) license or for each node-locked (single-user) license.
CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE OBTAINING A LICENSE FILE Obtaining a License File When you start the Quartus II software, if the software cannot detect a valid license file, you are asked whether you want to perform an automatic license retrieval from the Altera website, or specify the correct location of a valid license file. You can also obtain a license file, license.dat, from the Licensing section of the Altera website at www.altera.
CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE OBTAINING A LICENSE FILE 2. Select Perform automatic web license retrieval to request a valid license file automatically from the Altera website. You are guided through the licensing procedure. or To request a license file at a later time, visit the Altera Software Licensing page at www.altera.com/licensing. 3. If you are using a network (multiuser) license, type your license server host ID. 4. Specify the requested information. 5.
CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE MODIFYING THE LICENSE FILE If you are using the Quartus II software with a node-locked (single-user) license, skip to “Configuring Each Linux Workstation” on page 21. The FLEXlm licensing scheme also allows you to set up three redundant license servers to serve a network (multiuser) license. You can obtain a license file for redundant servers by performing the steps described earlier in this section for obtaining a license file.
CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE MODIFYING THE LICENSE FILE The first few lines of the license file are shown in the following example (your license file may not contain all the VENDOR entries, depending on which software you have enabled): SERVER <8- or 12-character host or NIC ID> VENDOR alterad "" VENDOR mgcld "" To modify the license file, follow these steps: 1. In the license.
CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE UPGRADING OR SETTING UP A LICENSE MANAGER SERVER Table 1. Variables to Modify in the License File (license.dat) (Part 2 of 2) Variable Name Description Directory Notes: (1) To prevent port number conflicts, you can specify a port number in the license file. If you do not specify a port number, the FLEXlm License Manager automatically chooses a free port between 27000 and 27009.
CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE UPGRADING OR SETTING UP A LICENSE MANAGER SERVER of the FLEXlm License Manager software or the license server software is 8.0 or later. If the FLEXlm License Manager software is version 8.0 or later, skip to “Rereading an Existing License File” on page 19.
CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE UPGRADING OR SETTING UP A LICENSE MANAGER SERVER Rereading an Existing License File The Quartus II software uses the FLEXlm software to administer licensing for single or multiple users in a network installation. If you have an existing FLEXlm license server with an existing license file for the MAX+PLUS II software or software from another vendor, and the FLEXlm license server is version 8.
CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE UPGRADING OR SETTING UP A LICENSE MANAGER SERVER You should be aware of the following information before you configure a new license server: ■ If you have an existing FLEXlm license server, refer to “Rereading an Existing License File” on page 19.
CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE CONFIGURING EACH LINUX WORKSTATION Configuring Each Linux Workstation For each Linux workstation that will run the Quartus II software, you must verify the libraries and kernel configuration before starting the Quartus II software for the first time. ! Before You Configure Each Linux Workstation You must have superuser or “root” privileges to install runtime patches or modify kernel configuration.
CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE CONFIGURING EACH USER ENVIRONMENT 2. If the parameters do not have the recommended values, change the values to the recommended values by adding one or more of the following lines to the limits.conf file: Table 3. Configuration Parameters Parameter Recommended Value hard nofile 32768 soft nofile 32768 ! Asterisks (*) in limits.conf File The asterisks (*) in the previous lines are part of the code and must be included in the limits.conf file. 3.
CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE STARTING THE QUARTUS II SOFTWARE setenv PATH ${PATH}://bin r 2. Save the changes to the .cshrc file, and then type the following commands at a command prompt: cd r source .
CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE SPECIFYING THE LICENSE FILE ■ Specify the license file with the .cshrc file on client workstations ! Specifying the License File with the ModelSim-Altera Software and MAX+PLUS II Software If you are using the Altera-provided ModelSim-Altera simulation software, which is included with Altera software subscriptions, and/or you are using the MAX+PLUS II software, you should specify the license file with the .cshrc file.
CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE SPECIFYING THE LICENSE FILE Figure 3. License Setup Page of the Options Dialog Box Current license Option to use LM_LICENSE_FILE variable License file location Web License Update button Licensed AMPP/ MegaCore functions 3. Local system information Begin 30-day Grace Period button In the License file box, specify the full path name of the license.dat file, or browse to locate the license.dat file. Altera recommends that you store the license.
CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE SPECIFYING THE LICENSE FILE is the port listed in the license.dat file. See Figure 1 on page 14 for a sample network license file to determine your port and server name, and refer to Table 1 on page 16 for more information about port numbers. If there is no port listed in the license.dat file, you can simply specify @.
CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE SPECIFYING THE LICENSE FILE ! Using the setenv Environment Variable with Multiple Applications If more than one application uses this environment variable, separate the different paths with a colon (:) with no spaces between the path names. For example: setenv LM_LICENSE_FILE /usr/local/flexlm licenses/license.dat:/ tmp/license.xyz or If you want to specify the name of the server on which the license file is stored, add the following line to the .
CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE SPECIFYING THE LICENSE FILE Specifying a Web Browser You must specify your web browser location in the Options dialog box to enable the Internet connectivity features of the Quartus II software. To specify your web browser location, follow these steps: 1. Start the Quartus II software. 2. On the Tools menu, click Options. 3. In the Category list, select Internet Connectivity. The Internet Connectivity page appears. 4.
CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE SPECIFYING THE LICENSE FILE Figure 4.
CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE SPECIFYING THE LICENSE FILE Registering for an Altera.com Account Your copy of the Quartus II software is registered at the time of purchase; however, in order to use the mySupport website to view and submit service requests, you must also register for an Altera.com account and an Altera user name. You can use your Altera user name to access your Altera.com account. Although use of the mySupport website requires you to have an Altera.
CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE LICENSING RELATED SOFTWARE Licensing Related Software In addition to licensing the Quartus II software, you must also license any other related Altera software you install. This section contains licensing procedures for the MegaCore IP Library, Nios II Embedded Design Suite, and ModelSim-Altera software.
CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE LICENSING RELATED SOFTWARE or /linux/linuxaloem (when installed using the Altera Complete Design Suite for Linux DVD-ROM) Before starting the ModelSim-Altera software, you must set your ModelSim-Altera LM_LICENSE_FILE environment variable to the location and filename of the ModelSim-Altera license file.
Chapter Three More Configuration Information What’s in Chapter 3: Introduction 34 Setting Environment Variables 34 Other Workstation Configuration Information 36
CHAPTER 3: MORE CONFIGURATION INFORMATION INTRODUCTION Introduction This section describes how to change additional workstation configuration items including Quartus II general environment variables, Quartus II NativeLink® environment variables, user names, language settings, and fonts. Setting Environment Variables This section describes the environment variables that the Quartus II software uses to configure various options and locate files.
CHAPTER 3: MORE CONFIGURATION INFORMATION SETTING ENVIRONMENT VARIABLES MWFONT_CACHE_DIR The MWFONT_CACHE_DIR variable specifies the name of the Quartus II font cache directory. The default directory is //.mw. QUARTUS_MWWM The QUARTUS_MWWM variable specifies how the Quartus II software should interact with the system’s window manager.
CHAPTER 3: MORE CONFIGURATION INFORMATION OTHER WORKSTATION CONFIGURATION INFORMATION QUARTUS_INIT_LIBPATH The QUARTUS_INIT_LIBPATH specifies the LD_LIBRARY_PATH variable needed by some EDA tools. You should set this variable to the EDA tool’s LD_LIBRARY_PATH if the EDA tool requires an LD_LIBRARY_PATH variable.
CHAPTER 3: MORE CONFIGURATION INFORMATION OTHER WORKSTATION CONFIGURATION INFORMATION quartus_tan quartus_asm quartus_drc quartus_cdb quartus_eda quartus_cpf quartus_sh quartus_cmd quartus_sta You can determine which processes are running by typing the following command at the command prompt: /usr/bin/ps -ef r You must then terminate these processes by typing the following command at the command prompt: kill -9 r ■ You can use the mwcleanup utility to clean up system resources and te
CHAPTER 3: MORE CONFIGURATION INFORMATION OTHER WORKSTATION CONFIGURATION INFORMATION ■ i. Click Next. The Printer Name wizard appears. j. In the Printer Name box, type a name for the printer and click Next. The Finish Adding New Printer wizard appears. k. Verify that the printer information is correct and click Finish. You can enable 64-bit processing by passing the --64bit option to command-line executables, such as quartus_map, quartus_fit, quartus_sta, quartus_tan, and/or quartus_cdb.
Chapter Four Documentation and Technical Support What’s in Chapter 4: Starting the Quartus II Interactive Tutorial 40 Using Quartus II Help 40 Contacting Altera 41
CHAPTER 4: DOCUMENTATION AND TECHNICAL SUPPORT STARTING THE QUARTUS II INTERACTIVE TUTORIAL Starting the Quartus II Interactive Tutorial The Quartus II software includes the Flash-based Quartus II Interactive Tutorial. The modules of this tutorial teach you how to use the basic features of the Quartus II design software, including design entry, compilation, timing analysis, simulation, programming, and advanced topics. This tutorial includes audio and Flash animation components.
CHAPTER 4: DOCUMENTATION AND TECHNICAL SUPPORT CONTACTING ALTERA – – – – f Search: The Search finds a maximum of 500 topics containing the search keyword. Contents: The Contents outlines the design flow and groups related topics into folders, but does not list all the topics in Quartus II Help. Messages: The Messages list provides an alphabetical list of all messages and offers detailed Help on each message.
CHAPTER 4: DOCUMENTATION AND TECHNICAL SUPPORT CONTACTING ALTERA ! Registering for an Altera.com Account In order to use the mySupport website to view and submit service requests, you must also register for an Altera.com account. An Altera.com account is required only for using the mySupport website; however, having an Altera.
Appendix A Quartus II Software File Organization What’s in Appendix A: Quartus II Software and Related Software File Organization 44
APPENDIX A: QUARTUS II SOFTWARE FILE ORGANIZATION QUARTUS II SOFTWARE AND RELATED SOFTWARE FILE ORGANIZATION Quartus II Software and Related Software File Organization During the Quartus II software and related software installation, one top-level directory is created: The /opt/altera directory (the main directory where the Quartus II software files are installed) contains system software and data files and includes the subdirectories shown in Figures 1 and 2.
APPENDIX A: QUARTUS II SOFTWARE FILE ORGANIZATION QUARTUS II SOFTWARE AND RELATED SOFTWARE FILE ORGANIZATION The /opt/altera/quartus directory includes the subdirectories and data files shown in Figure 2. Figure 2.
Appendix B Mounting and Unmounting DVD-ROMs What’s in Appendix B: Introduction 47 Mounting and Unmounting DVD-ROMs 47
APPENDIX B: MOUNTING AND UNMOUNTING DVD-ROMS INTRODUCTION Introduction This appendix describes how to mount and unmount the Altera Complete Design Suite DVD-ROM on Linux workstations. Mounting and Unmounting DVD-ROMs ! Before You Mount a DVD-ROM You must have superuser or “root” privileges to mount and unmount the DVD-ROM drive. If you are logged in on a console, you do not need to have root privileges to mount a DVD-ROM. To mount a DVD-ROM, follow these steps: 1.
APPENDIX B: MOUNTING AND UNMOUNTING DVD-ROMS MOUNTING AND UNMOUNTING DVD-ROMS /bin/umount /mnt/dvdrom r To successfully unmount the DVD-ROM drive, you must make sure that no one is accessing the directory structure at or below the dvdrom directory.
Index Numerics environment, configuring 22 Ethernet connection 3 64-bit version of the Quartus II software, enabling on Linux workstations 10 A Altera Complete Design Suite 7 install script 9 altera directory 44 Altera ID 13 Altera on the Web command 30 Altera website 41 Altera, contacting 42 Altera.com account 30, 41 Altera.com downloaded software installing 5 Altera.com Website Download Center 5 AMD64 processor 3 C configuration information 34 contacting Altera 41 .
INDEX licensing related software 31 lmhostid utility 13 lmutil utility 13, 18, 19, 20 QUARTUS_ROOTDIR variable 34 R readme.txt file 3 registering for an Altera.
Copyright © 2008 Altera Corporation. All rights reserved. Altera, the stylized Altera logo, specific device designations, and all other words and logos that are identified as trademarks and/or service marks are, unless noted otherwise, the trademarks and service marks of Altera Corporation in the U.S. and other countries. ModelSim is a registered trademark of Mentor Graphics Corporation. All other product or service names are the property of their respective holders.