User guide

4–6 Altera Corporation
Stratix GX Transceiver User Guide January 2005
SONET Mode Receiver Architecture
Figure 4–4. Word Aligner Symbols Interacting in SONET A1A2 Manual Alignment Mode
The rx_a1a2size signal is held low. This low signal sets the SONET
alignment mode to A1A2. Because rx_enacdet is toggled high at
time n, the aligner locks to the boundary of the next present comma.
Additionally, the A1 comma appears on the rx_word_align_out port
during this period. At time n+1, the A2 comma appears on the
rx_word_align_out port. Because the comma exists, the
rx_patterndetect and rx_syncstatus signals are asserted for one
clock cycle to signify that the A1A2 comma has been detected and that the
word boundary has been locked. The A1A2 comma appears again across
word boundaries during periods n+2, n+3, and n+4. The rx_enacdet
signal is held high, but the word aligner does not re-align the byte
boundary. Instead, the rx_syncstatus signal is asserted for one clock
cycle to signify a re-synchronization condition. You must deassert and
reassert the rx_enacdet signal to re-trigger the word aligner. The next
transition occurs at time n+5, where rx_enacdet is deasserted and the
A1 pattern is present on the rx_word_align_out port. At time n+6, the
A2 pattern is present on the rx_word_align_out port. The word
aligner then asserts the rx_patterndetect signal for one clock cycle to
flag the detection of the comma on the current word boundary.
Manual Bit-Slipping Alignment Mode
Word alignment is achieved by enabling the manual bit-slip option in the
MegaWizard Plug-In Manager. With this option enabled, the transceiver
can shift the word boundary by one bit in every parallel clock cycle. Bits
are shifted from the MSB to LSB direction. This shift occurs every time the
bit-slipping circuitry detects a rising edge of the rx_bitslip[] signal.
Each time a bit is slipped, the bit that arrived at the receiver earlier is
skipped. When the word boundary matches what is specified as the
rx_recovclockout
rx_enacdet
rx_patterndetect
rx_syncstatus
rx_word_align_out
01101111 00010100 11111111 0110111100000001 0001010001000110
n n+1 n+2 n+3 n+4 n+5
n+6
rx_a1a2size